diff --git a/apps/udp_echo_app/udp_echo_app_stats.sv b/apps/udp_echo_app/udp_echo_app_stats.sv index 752fc23..41ecbd3 100644 --- a/apps/udp_echo_app/udp_echo_app_stats.sv +++ b/apps/udp_echo_app/udp_echo_app_stats.sv @@ -1,5 +1,7 @@ `include "udp_echo_app_stats_defs.svh" -module udp_echo_app_stats #( +module udp_echo_app_stats +import beehive_topology::*; +#( parameter SRC_X = -1 ,parameter SRC_Y = -1 ,parameter NOC1_DATA_W=-1 diff --git a/apps/udp_echo_app/udp_echo_app_tile_gen.sv.pyv b/apps/udp_echo_app/udp_echo_app_tile_gen.sv.pyv index a3a8f14..d86a206 100644 --- a/apps/udp_echo_app/udp_echo_app_tile_gen.sv.pyv +++ b/apps/udp_echo_app/udp_echo_app_tile_gen.sv.pyv @@ -13,7 +13,9 @@ logging.info(f"Using tile config at {design_path}") tile_config = BeehiveConfig(design_path + "/tile_config.xml") noc_if_generator = NocInterfaceGen() %> -module udp_echo_app_tile #( +module udp_echo_app_tile +import beehive_udp_app_logger_msg::*; +#( parameter SRC_X = -1 ,parameter SRC_Y = -1 )( diff --git a/network_tiles/eth/eth_rx_hash_table_init_rom_gen.sv.pyv b/network_tiles/eth/eth_rx_hash_table_init_rom_gen.sv.pyv index a24ae4b..441ac26 100644 --- a/network_tiles/eth/eth_rx_hash_table_init_rom_gen.sv.pyv +++ b/network_tiles/eth/eth_rx_hash_table_init_rom_gen.sv.pyv @@ -23,6 +23,8 @@ if len(ip_rx_names) > (1 << 4): raise RuntimeError("Too many destinations for the ROM size") %> +`include "noc_defs.vh" + module eth_rx_hash_table_init_rom import hash_pkg::*; #( diff --git a/network_tiles/eth/eth_rx_tile_gen.sv.pyv b/network_tiles/eth/eth_rx_tile_gen.sv.pyv index 5cefd4e..a8c2871 100644 --- a/network_tiles/eth/eth_rx_tile_gen.sv.pyv +++ b/network_tiles/eth/eth_rx_tile_gen.sv.pyv @@ -67,6 +67,14 @@ import tracker_pkg::*; logic [`CTRL_NOC_DATA_W-1:0] rd_tracker_out_vrtoc_data; logic vrtoc_rd_tracker_out_rdy; + logic router_ctovr_ctrl_noc1_val; + logic [`CTRL_NOC_DATA_W-1:0] router_ctovr_ctrl_noc1_data; + logic ctovr_router_ctrl_noc1_yummy; + + logic vrtoc_router_ctrl_noc1_val; + logic [`CTRL_NOC_DATA_W-1:0] vrtoc_router_ctrl_noc1_data; + logic router_vrtoc_ctrl_noc1_yummy; + <% router_wires = noc_if_generator.genNocProcessorWires(inst_name="router", nocs=tile_config.nocs, noc_widths = tile_config.nocs_data_width) @@ -109,6 +117,10 @@ print(router_wires) %> ); + logic ctovr_router_ctrl_noc2_yummy; + logic vrtoc_router_ctrl_noc2_val; + logic [`NOC_DATA_WIDTH-1:0] vrtoc_router_ctrl_noc2_data; + assign ctovr_router_ctrl_noc2_yummy = 1'b0; assign vrtoc_router_ctrl_noc2_val = 1'b0; assign vrtoc_router_ctrl_noc2_data = '0; @@ -141,7 +153,7 @@ generate assign src_eth_format_data_padbytes = mac_engine_rx_padbytes; assign engine_mac_rx_rdy = eth_format_src_rdy; - assign eth_rx_out_ctovr_data_noc0_rdy = 1'b0; + assign eth_rx_in_ctovr_data_noc0_rdy = 1'b0; end endgenerate @@ -172,7 +184,7 @@ endgenerate ,.vrtoc_dst_data (vrtoc_router_data_noc0_data ) ,.vrtoc_dst_val (vrtoc_router_data_noc0_val ) - ,.dst_vrtoc_yummy (router_vrtoc_data_noc0_yummy ) + ,.dst_vrtoc_yummy (router_vrtoc_data_noc0_yummy ) ); eth_frame_format rx_eth_frame_format ( @@ -249,7 +261,7 @@ endgenerate ,.vrtoc_dst_data (vrtoc_router_ctrl_noc1_data ) ,.vrtoc_dst_val (vrtoc_router_ctrl_noc1_val ) - ,.dst_vrtoc_yummy (router_vrtoc_ctrl_noc1_yummy ) + ,.dst_vrtoc_yummy (router_vrtoc_ctrl_noc1_yummy ) ); tracker_top #( diff --git a/network_tiles/eth/eth_tx_tile_gen.sv.pyv b/network_tiles/eth/eth_tx_tile_gen.sv.pyv index b495d7d..d2dbb80 100644 --- a/network_tiles/eth/eth_tx_tile_gen.sv.pyv +++ b/network_tiles/eth/eth_tx_tile_gen.sv.pyv @@ -97,6 +97,14 @@ print(router_wires) logic rd_tracker_out_vrtoc_val; logic [`CTRL_NOC_DATA_W-1:0] rd_tracker_out_vrtoc_data; logic vrtoc_rd_tracker_out_rdy; + + logic router_ctovr_ctrl_noc1_val; + logic [`CTRL_NOC_DATA_W-1:0] router_ctovr_ctrl_noc1_data; + logic ctovr_router_ctrl_noc1_yummy; + + logic vrtoc_router_ctrl_noc1_val; + logic [`CTRL_NOC_DATA_W-1:0] vrtoc_router_ctrl_noc1_data; + logic router_vrtoc_ctrl_noc1_yummy; noc_router_block #( .SRC_X (SRC_X ) @@ -146,7 +154,7 @@ print(router_wires) //credit based interface ,.vrtoc_dst_data (vrtoc_router_data_noc0_data ) ,.vrtoc_dst_val (vrtoc_router_data_noc0_val ) - ,.dst_vrtoc_yummy (router_vrtoc_data_noc0_yummy ) + ,.dst_vrtoc_yummy (router_vrtoc_data_noc0_yummy ) ); beehive_credit_to_valrdy #( @@ -179,7 +187,7 @@ print(router_wires) //credit based interface ,.vrtoc_dst_data (vrtoc_router_ctrl_noc1_data ) ,.vrtoc_dst_val (vrtoc_router_ctrl_noc1_val ) - ,.dst_vrtoc_yummy (router_vrtoc_ctrl_noc1_yummy ) + ,.dst_vrtoc_yummy (router_vrtoc_ctrl_noc1_yummy ) ); tracker_top #( diff --git a/network_tiles/ip/ip_rx_hash_table_init_rom_gen.sv.pyv b/network_tiles/ip/ip_rx_hash_table_init_rom_gen.sv.pyv index 4142fde..0ac2608 100644 --- a/network_tiles/ip/ip_rx_hash_table_init_rom_gen.sv.pyv +++ b/network_tiles/ip/ip_rx_hash_table_init_rom_gen.sv.pyv @@ -22,6 +22,7 @@ for endpoint in udp_rx_endpoints: if len(udp_rx_names) > (1 << 4): raise RuntimeError("Too many destinations for the ROM size") %> +`include "noc_defs.vh" module ip_rx_hash_table_init_rom import hash_pkg::*; #( diff --git a/network_tiles/ip/ip_rx_out_cam_multi_gen.sv.pyv b/network_tiles/ip/ip_rx_out_cam_multi_gen.sv.pyv index 58c829c..c4fbb79 100644 --- a/network_tiles/ip/ip_rx_out_cam_multi_gen.sv.pyv +++ b/network_tiles/ip/ip_rx_out_cam_multi_gen.sv.pyv @@ -1,4 +1,8 @@ -module ip_rx_out_cam_multi #( +`include "noc_defs.vh" +`include "packet_defs.vh" +module ip_rx_out_cam_multi +import beehive_topology::*; +#( parameter SRC_X = -1 ,parameter SRC_Y = -1 )( diff --git a/network_tiles/ip/ip_rx_tile_gen.sv.pyv b/network_tiles/ip/ip_rx_tile_gen.sv.pyv index 0ac88a4..defaf26 100644 --- a/network_tiles/ip/ip_rx_tile_gen.sv.pyv +++ b/network_tiles/ip/ip_rx_tile_gen.sv.pyv @@ -80,6 +80,14 @@ print(router_wires) logic rd_tracker_out_vrtoc_val; logic [`CTRL_NOC_DATA_W-1:0] rd_tracker_out_vrtoc_data; logic vrtoc_rd_tracker_out_rdy; + + logic router_ctovr_ctrl_noc1_val; + logic [`CTRL_NOC_DATA_W-1:0] router_ctovr_ctrl_noc1_data; + logic ctovr_router_ctrl_noc1_yummy; + + logic vrtoc_router_ctrl_noc1_val; + logic [`CTRL_NOC_DATA_W-1:0] vrtoc_router_ctrl_noc1_data; + logic router_vrtoc_ctrl_noc1_yummy; noc_router_block #( .SRC_X (SRC_X ) @@ -173,7 +181,7 @@ print(router_wires) ,.vrtoc_dst_data (vrtoc_router_ctrl_noc1_data ) ,.vrtoc_dst_val (vrtoc_router_ctrl_noc1_val ) - ,.dst_vrtoc_yummy (router_vrtoc_ctrl_noc1_yummy ) + ,.dst_vrtoc_yummy (router_vrtoc_ctrl_noc1_yummy ) ); beehive_valrdy_to_credit #( @@ -188,7 +196,7 @@ print(router_wires) ,.vrtoc_dst_data (vrtoc_router_data_noc0_data ) ,.vrtoc_dst_val (vrtoc_router_data_noc0_val ) - ,.dst_vrtoc_yummy (router_vrtoc_data_noc0_yummy ) + ,.dst_vrtoc_yummy (router_vrtoc_data_noc0_yummy ) ); ip_rx_noc_in ip_rx_noc_in ( diff --git a/network_tiles/ip/ip_tx_hash_table_init_rom_gen.sv.pyv b/network_tiles/ip/ip_tx_hash_table_init_rom_gen.sv.pyv index 23b667f..aa9ee27 100644 --- a/network_tiles/ip/ip_tx_hash_table_init_rom_gen.sv.pyv +++ b/network_tiles/ip/ip_tx_hash_table_init_rom_gen.sv.pyv @@ -22,6 +22,7 @@ for endpoint in eth_tx_endpoints: if len(eth_tx_names) > (1 << 4): raise RuntimeError("Too many destinations for the ROM size") %> +`include "noc_defs.vh" module ip_tx_hash_table_init_rom import hash_pkg::*; #( diff --git a/network_tiles/ip/ip_tx_tile_gen.sv.pyv b/network_tiles/ip/ip_tx_tile_gen.sv.pyv index 3893e6b..788b553 100644 --- a/network_tiles/ip/ip_tx_tile_gen.sv.pyv +++ b/network_tiles/ip/ip_tx_tile_gen.sv.pyv @@ -108,6 +108,14 @@ print(router_wires) logic rd_tracker_out_vrtoc_val; logic [`CTRL_NOC_DATA_W-1:0] rd_tracker_out_vrtoc_data; logic vrtoc_rd_tracker_out_rdy; + + logic router_ctovr_ctrl_noc1_val; + logic [`CTRL_NOC_DATA_W-1:0] router_ctovr_ctrl_noc1_data; + logic ctovr_router_ctrl_noc1_yummy; + + logic vrtoc_router_ctrl_noc1_val; + logic [`CTRL_NOC_DATA_W-1:0] vrtoc_router_ctrl_noc1_data; + logic router_vrtoc_ctrl_noc1_yummy; noc_router_block #( .SRC_X (SRC_X ) @@ -158,7 +166,7 @@ print(router_wires) ,.vrtoc_dst_data (vrtoc_router_data_noc0_data ) ,.vrtoc_dst_val (vrtoc_router_data_noc0_val ) - ,.dst_vrtoc_yummy (router_vrtoc_data_noc0_yummy ) + ,.dst_vrtoc_yummy (router_vrtoc_data_noc0_yummy ) ); beehive_credit_to_valrdy #( @@ -188,7 +196,7 @@ print(router_wires) ,.vrtoc_dst_data (vrtoc_router_ctrl_noc1_data ) ,.vrtoc_dst_val (vrtoc_router_ctrl_noc1_val ) - ,.dst_vrtoc_yummy (router_vrtoc_ctrl_noc1_yummy ) + ,.dst_vrtoc_yummy (router_vrtoc_ctrl_noc1_yummy ) ); tracker_top #( diff --git a/network_tiles/udp/udp_rx_noc_in.sv b/network_tiles/udp/udp_rx_noc_in.sv index fc43da3..48791aa 100644 --- a/network_tiles/udp/udp_rx_noc_in.sv +++ b/network_tiles/udp/udp_rx_noc_in.sv @@ -1,4 +1,5 @@ `include "udp_rx_tile_defs.svh" +`include "soc_defs.vh" module udp_rx_noc_in import tracker_pkg::*; diff --git a/network_tiles/udp/udp_rx_noc_in_datap.sv b/network_tiles/udp/udp_rx_noc_in_datap.sv index 9910606..fd8c0f0 100644 --- a/network_tiles/udp/udp_rx_noc_in_datap.sv +++ b/network_tiles/udp/udp_rx_noc_in_datap.sv @@ -1,4 +1,5 @@ `include "udp_rx_tile_defs.svh" +`include "soc_defs.vh" module udp_rx_noc_in_datap import tracker_pkg::*; diff --git a/network_tiles/udp/udp_rx_noc_out.sv b/network_tiles/udp/udp_rx_noc_out.sv index 11ea154..36b2d86 100644 --- a/network_tiles/udp/udp_rx_noc_out.sv +++ b/network_tiles/udp/udp_rx_noc_out.sv @@ -1,4 +1,5 @@ `include "udp_rx_tile_defs.svh" +`include "soc_defs.vh" module udp_rx_noc_out #( parameter SRC_X = -1 ,parameter SRC_Y = -1 diff --git a/network_tiles/udp/udp_rx_noc_out_copy.sv b/network_tiles/udp/udp_rx_noc_out_copy.sv index 64c513f..db1b4b7 100644 --- a/network_tiles/udp/udp_rx_noc_out_copy.sv +++ b/network_tiles/udp/udp_rx_noc_out_copy.sv @@ -1,4 +1,5 @@ `include "udp_rx_tile_defs.svh" +`include "soc_defs.vh" module udp_rx_noc_out_copy import tracker_pkg::*; #( diff --git a/network_tiles/udp/udp_rx_noc_out_datap.sv b/network_tiles/udp/udp_rx_noc_out_datap.sv index e7d9b3d..5b289fe 100644 --- a/network_tiles/udp/udp_rx_noc_out_datap.sv +++ b/network_tiles/udp/udp_rx_noc_out_datap.sv @@ -1,4 +1,5 @@ `include "udp_rx_tile_defs.svh" +`include "soc_defs.vh" module udp_rx_noc_out_datap import tracker_pkg::*; #( diff --git a/network_tiles/udp/udp_rx_out_cam_gen.sv.pyv b/network_tiles/udp/udp_rx_out_cam_gen.sv.pyv index c1544bc..961c63e 100644 --- a/network_tiles/udp/udp_rx_out_cam_gen.sv.pyv +++ b/network_tiles/udp/udp_rx_out_cam_gen.sv.pyv @@ -1,5 +1,7 @@ `include "udp_rx_tile_defs.svh" -module udp_rx_out_cam ( +module udp_rx_out_cam +import beehive_eth_latency_logger_msg::*; +( input clk ,input rst diff --git a/network_tiles/udp/udp_rx_out_cam_multi_gen.sv.pyv b/network_tiles/udp/udp_rx_out_cam_multi_gen.sv.pyv index 92da3de..fd26894 100644 --- a/network_tiles/udp/udp_rx_out_cam_multi_gen.sv.pyv +++ b/network_tiles/udp/udp_rx_out_cam_multi_gen.sv.pyv @@ -1,4 +1,11 @@ -module udp_rx_out_cam_multi #( +`include "soc_defs.vh" +module udp_rx_out_cam_multi +import beehive_noc_msg::*; +import beehive_topology::*; +import udp_rx_tile_pkg::*; +import beehive_eth_latency_logger_msg::*; +import beehive_udp_app_logger_msg::*; +#( parameter SRC_X = -1 ,parameter SRC_Y = -1 )( diff --git a/network_tiles/udp/udp_rx_tile_gen.sv.pyv b/network_tiles/udp/udp_rx_tile_gen.sv.pyv index 926cc7e..3deb35f 100644 --- a/network_tiles/udp/udp_rx_tile_gen.sv.pyv +++ b/network_tiles/udp/udp_rx_tile_gen.sv.pyv @@ -90,6 +90,14 @@ print(router_wires) logic noc_out_fifo_rd_rdy; logic noc_out_fifo_rd_yumi; + logic router_ctovr_ctrl_noc1_val; + logic [`CTRL_NOC_DATA_W-1:0] router_ctovr_ctrl_noc1_data; + logic ctovr_router_ctrl_noc1_yummy; + + logic vrtoc_router_ctrl_noc1_val; + logic [`CTRL_NOC_DATA_W-1:0] vrtoc_router_ctrl_noc1_data; + logic router_vrtoc_ctrl_noc1_yummy; + noc_router_block #( .SRC_X (SRC_X ) diff --git a/network_tiles/udp/udp_tx_hash_table_init_rom_gen.sv.pyv b/network_tiles/udp/udp_tx_hash_table_init_rom_gen.sv.pyv index e7c2078..48fa196 100644 --- a/network_tiles/udp/udp_tx_hash_table_init_rom_gen.sv.pyv +++ b/network_tiles/udp/udp_tx_hash_table_init_rom_gen.sv.pyv @@ -22,6 +22,7 @@ for endpoint in ip_tx_endpoints: if len(ip_tx_names) > (1 << 4): raise RuntimeError("Too many destinations for the ROM size") %> +`include "noc_defs.vh" module udp_tx_hash_table_init_rom import hash_pkg::*; #( diff --git a/network_tiles/udp/udp_tx_noc_in.sv b/network_tiles/udp/udp_tx_noc_in.sv index 7555789..3bc41e8 100644 --- a/network_tiles/udp/udp_tx_noc_in.sv +++ b/network_tiles/udp/udp_tx_noc_in.sv @@ -1,4 +1,5 @@ `include "udp_tx_tile_defs.svh" +`include "soc_defs.vh" module udp_tx_noc_in import tracker_pkg::*; ( diff --git a/network_tiles/udp/udp_tx_noc_in_datap.sv b/network_tiles/udp/udp_tx_noc_in_datap.sv index 8f970b6..f4ae3f4 100644 --- a/network_tiles/udp/udp_tx_noc_in_datap.sv +++ b/network_tiles/udp/udp_tx_noc_in_datap.sv @@ -1,4 +1,5 @@ `include "udp_tx_tile_defs.svh" +`include "soc_defs.vh" module udp_tx_noc_in_datap import tracker_pkg::*; ( diff --git a/network_tiles/udp/udp_tx_noc_out.sv b/network_tiles/udp/udp_tx_noc_out.sv index a24c9b6..22cfefa 100644 --- a/network_tiles/udp/udp_tx_noc_out.sv +++ b/network_tiles/udp/udp_tx_noc_out.sv @@ -1,4 +1,5 @@ `include "udp_tx_tile_defs.svh" +`include "soc_defs.vh" module udp_tx_noc_out #( parameter SRC_X = -1 ,parameter SRC_Y = -1 diff --git a/network_tiles/udp/udp_tx_noc_out_copy.sv b/network_tiles/udp/udp_tx_noc_out_copy.sv index e9a1fc9..c0bac52 100644 --- a/network_tiles/udp/udp_tx_noc_out_copy.sv +++ b/network_tiles/udp/udp_tx_noc_out_copy.sv @@ -1,4 +1,5 @@ `include "udp_tx_tile_defs.svh" +`include "soc_defs.vh" module udp_tx_noc_out_copy import tracker_pkg::*; #( diff --git a/network_tiles/udp/udp_tx_noc_out_copy_gen.sv.pyv b/network_tiles/udp/udp_tx_noc_out_copy_gen.sv.pyv index 8305dbc..493f03d 100644 --- a/network_tiles/udp/udp_tx_noc_out_copy_gen.sv.pyv +++ b/network_tiles/udp/udp_tx_noc_out_copy_gen.sv.pyv @@ -1,4 +1,5 @@ `include "udp_tx_tile_defs.svh" +`include "soc_defs.vh" module udp_tx_noc_out_copy import tracker_pkg::*; #( diff --git a/network_tiles/udp/udp_tx_noc_out_datap.sv b/network_tiles/udp/udp_tx_noc_out_datap.sv index 39b3377..f256673 100644 --- a/network_tiles/udp/udp_tx_noc_out_datap.sv +++ b/network_tiles/udp/udp_tx_noc_out_datap.sv @@ -1,4 +1,5 @@ `include "udp_tx_tile_defs.svh" +`include "soc_defs.vh" module udp_tx_noc_out_datap import tracker_pkg::*; #( diff --git a/network_tiles/udp/udp_tx_tile_gen.sv.pyv b/network_tiles/udp/udp_tx_tile_gen.sv.pyv index 902c969..5764934 100644 --- a/network_tiles/udp/udp_tx_tile_gen.sv.pyv +++ b/network_tiles/udp/udp_tx_tile_gen.sv.pyv @@ -89,6 +89,14 @@ print(router_wires) logic rd_tracker_out_vrtoc_val; logic [`CTRL_NOC_DATA_W-1:0] rd_tracker_out_vrtoc_data; logic vrtoc_rd_tracker_out_rdy; + + logic router_ctovr_ctrl_noc1_val; + logic [`CTRL_NOC_DATA_W-1:0] router_ctovr_ctrl_noc1_data; + logic ctovr_router_ctrl_noc1_yummy; + + logic vrtoc_router_ctrl_noc1_val; + logic [`CTRL_NOC_DATA_W-1:0] vrtoc_router_ctrl_noc1_data; + logic router_vrtoc_ctrl_noc1_yummy; noc_router_block #( .SRC_X (SRC_X ) diff --git a/protocols/tcp_hw b/protocols/tcp_hw index 59c92b1..e3e21c1 160000 --- a/protocols/tcp_hw +++ b/protocols/tcp_hw @@ -1 +1 @@ -Subproject commit 59c92b13d330469623b2bcf8510a09f0cb6ed9be +Subproject commit e3e21c1c3f52a71a420a074a887bce52f6dcabc7 diff --git a/util/common_noc_adapters/extra_hdr_noc_ctrl_to_data.sv b/util/common_noc_adapters/extra_hdr_noc_ctrl_to_data.sv index bb4140c..7c018d3 100644 --- a/util/common_noc_adapters/extra_hdr_noc_ctrl_to_data.sv +++ b/util/common_noc_adapters/extra_hdr_noc_ctrl_to_data.sv @@ -1,3 +1,5 @@ +`include "noc_defs.vh" + module extra_hdr_noc_ctrl_to_data import beehive_noc_msg::*; import beehive_ctrl_noc_msg::*; diff --git a/util/common_noc_adapters/extra_hdr_noc_data_to_ctrl.sv b/util/common_noc_adapters/extra_hdr_noc_data_to_ctrl.sv index bbde2f7..fc1df85 100644 --- a/util/common_noc_adapters/extra_hdr_noc_data_to_ctrl.sv +++ b/util/common_noc_adapters/extra_hdr_noc_data_to_ctrl.sv @@ -1,3 +1,5 @@ +`include "noc_defs.vh" + module extra_hdr_noc_data_to_ctrl import beehive_noc_msg::*; import beehive_ctrl_noc_msg::*; diff --git a/util/common_noc_adapters/flit_hdr_strip.sv b/util/common_noc_adapters/flit_hdr_strip.sv index 22b7ce3..e3d28c6 100644 --- a/util/common_noc_adapters/flit_hdr_strip.sv +++ b/util/common_noc_adapters/flit_hdr_strip.sv @@ -1,3 +1,4 @@ +`include "noc_defs.vh" module flit_hdr_strip import beehive_noc_msg::*; ( diff --git a/util/common_noc_adapters/ip_lb_parse.sv b/util/common_noc_adapters/ip_lb_parse.sv index f0644bd..7d252e9 100644 --- a/util/common_noc_adapters/ip_lb_parse.sv +++ b/util/common_noc_adapters/ip_lb_parse.sv @@ -1,7 +1,10 @@ // a parser for things using the IP flit format +`include "noc_defs.vh" +`include "soc_defs.vh" module ip_lb_parse import hash_pkg::*; import beehive_ip_msg::*; + import beehive_noc_msg::*; ( input clk ,input rst diff --git a/util/logging/simple_log_udp_noc_read_ctrl.sv b/util/logging/simple_log_udp_noc_read_ctrl.sv index dd3c20f..7d57b02 100644 --- a/util/logging/simple_log_udp_noc_read_ctrl.sv +++ b/util/logging/simple_log_udp_noc_read_ctrl.sv @@ -45,15 +45,6 @@ module simple_log_udp_noc_read_ctrl ( end end - always_ff @(posedge clk) begin - if (rst) begin - state_reg <= READY; - end - else begin - state_reg <= state_next; - end - end - always_comb begin reader_in_ctovr_rdy = 1'b0; reader_out_vrtoc_val = 1'b0; diff --git a/util/logging/tracker_read_datap.sv b/util/logging/tracker_read_datap.sv index 055539e..2d2ab50 100644 --- a/util/logging/tracker_read_datap.sv +++ b/util/logging/tracker_read_datap.sv @@ -1,5 +1,6 @@ module tracker_read_datap import tracker_pkg::*; + import beehive_noc_msg::*; import beehive_ctrl_noc_msg::*; #( parameter SRC_X = -1 diff --git a/util/logging/tracker_record_datap.sv b/util/logging/tracker_record_datap.sv index 2c7787e..c34fbba 100644 --- a/util/logging/tracker_record_datap.sv +++ b/util/logging/tracker_record_datap.sv @@ -1,3 +1,4 @@ +`include "noc_defs.vh" module tracker_record_datap import beehive_noc_msg::*; import tracker_pkg::*;