Skip to content
Open
Show file tree
Hide file tree
Changes from all commits
Commits
File filter

Filter by extension

Filter by extension

Conversations
Failed to load comments.
Loading
Jump to
Jump to file
Failed to load files.
Loading
Diff view
Diff view
4 changes: 3 additions & 1 deletion apps/udp_echo_app/udp_echo_app_stats.sv
Original file line number Diff line number Diff line change
@@ -1,5 +1,7 @@
`include "udp_echo_app_stats_defs.svh"
module udp_echo_app_stats #(
module udp_echo_app_stats
import beehive_topology::*;
#(
parameter SRC_X = -1
,parameter SRC_Y = -1
,parameter NOC1_DATA_W=-1
Expand Down
4 changes: 3 additions & 1 deletion apps/udp_echo_app/udp_echo_app_tile_gen.sv.pyv
Original file line number Diff line number Diff line change
Expand Up @@ -13,7 +13,9 @@ logging.info(f"Using tile config at {design_path}")
tile_config = BeehiveConfig(design_path + "/tile_config.xml")
noc_if_generator = NocInterfaceGen()
%>
module udp_echo_app_tile #(
module udp_echo_app_tile
import beehive_udp_app_logger_msg::*;
#(
parameter SRC_X = -1
,parameter SRC_Y = -1
)(
Expand Down
2 changes: 2 additions & 0 deletions network_tiles/eth/eth_rx_hash_table_init_rom_gen.sv.pyv
Original file line number Diff line number Diff line change
Expand Up @@ -23,6 +23,8 @@ if len(ip_rx_names) > (1 << 4):
raise RuntimeError("Too many destinations for the ROM size")
%>

`include "noc_defs.vh"

module eth_rx_hash_table_init_rom
import hash_pkg::*;
#(
Expand Down
18 changes: 15 additions & 3 deletions network_tiles/eth/eth_rx_tile_gen.sv.pyv
Original file line number Diff line number Diff line change
Expand Up @@ -67,6 +67,14 @@ import tracker_pkg::*;
logic [`CTRL_NOC_DATA_W-1:0] rd_tracker_out_vrtoc_data;
logic vrtoc_rd_tracker_out_rdy;

logic router_ctovr_ctrl_noc1_val;
logic [`CTRL_NOC_DATA_W-1:0] router_ctovr_ctrl_noc1_data;
logic ctovr_router_ctrl_noc1_yummy;

logic vrtoc_router_ctrl_noc1_val;
logic [`CTRL_NOC_DATA_W-1:0] vrtoc_router_ctrl_noc1_data;
logic router_vrtoc_ctrl_noc1_yummy;

<%
router_wires = noc_if_generator.genNocProcessorWires(inst_name="router", nocs=tile_config.nocs,
noc_widths = tile_config.nocs_data_width)
Expand Down Expand Up @@ -109,6 +117,10 @@ print(router_wires)
%>
);

logic ctovr_router_ctrl_noc2_yummy;
logic vrtoc_router_ctrl_noc2_val;
logic [`NOC_DATA_WIDTH-1:0] vrtoc_router_ctrl_noc2_data;

assign ctovr_router_ctrl_noc2_yummy = 1'b0;
assign vrtoc_router_ctrl_noc2_val = 1'b0;
assign vrtoc_router_ctrl_noc2_data = '0;
Expand Down Expand Up @@ -141,7 +153,7 @@ generate
assign src_eth_format_data_padbytes = mac_engine_rx_padbytes;
assign engine_mac_rx_rdy = eth_format_src_rdy;

assign eth_rx_out_ctovr_data_noc0_rdy = 1'b0;
assign eth_rx_in_ctovr_data_noc0_rdy = 1'b0;
end
endgenerate

Expand Down Expand Up @@ -172,7 +184,7 @@ endgenerate

,.vrtoc_dst_data (vrtoc_router_data_noc0_data )
,.vrtoc_dst_val (vrtoc_router_data_noc0_val )
,.dst_vrtoc_yummy (router_vrtoc_data_noc0_yummy )
,.dst_vrtoc_yummy (router_vrtoc_data_noc0_yummy )
);

eth_frame_format rx_eth_frame_format (
Expand Down Expand Up @@ -249,7 +261,7 @@ endgenerate

,.vrtoc_dst_data (vrtoc_router_ctrl_noc1_data )
,.vrtoc_dst_val (vrtoc_router_ctrl_noc1_val )
,.dst_vrtoc_yummy (router_vrtoc_ctrl_noc1_yummy )
,.dst_vrtoc_yummy (router_vrtoc_ctrl_noc1_yummy )
);

tracker_top #(
Expand Down
12 changes: 10 additions & 2 deletions network_tiles/eth/eth_tx_tile_gen.sv.pyv
Original file line number Diff line number Diff line change
Expand Up @@ -97,6 +97,14 @@ print(router_wires)
logic rd_tracker_out_vrtoc_val;
logic [`CTRL_NOC_DATA_W-1:0] rd_tracker_out_vrtoc_data;
logic vrtoc_rd_tracker_out_rdy;

logic router_ctovr_ctrl_noc1_val;
logic [`CTRL_NOC_DATA_W-1:0] router_ctovr_ctrl_noc1_data;
logic ctovr_router_ctrl_noc1_yummy;

logic vrtoc_router_ctrl_noc1_val;
logic [`CTRL_NOC_DATA_W-1:0] vrtoc_router_ctrl_noc1_data;
logic router_vrtoc_ctrl_noc1_yummy;

noc_router_block #(
.SRC_X (SRC_X )
Expand Down Expand Up @@ -146,7 +154,7 @@ print(router_wires)
//credit based interface
,.vrtoc_dst_data (vrtoc_router_data_noc0_data )
,.vrtoc_dst_val (vrtoc_router_data_noc0_val )
,.dst_vrtoc_yummy (router_vrtoc_data_noc0_yummy )
,.dst_vrtoc_yummy (router_vrtoc_data_noc0_yummy )
);

beehive_credit_to_valrdy #(
Expand Down Expand Up @@ -179,7 +187,7 @@ print(router_wires)
//credit based interface
,.vrtoc_dst_data (vrtoc_router_ctrl_noc1_data )
,.vrtoc_dst_val (vrtoc_router_ctrl_noc1_val )
,.dst_vrtoc_yummy (router_vrtoc_ctrl_noc1_yummy )
,.dst_vrtoc_yummy (router_vrtoc_ctrl_noc1_yummy )
);

tracker_top #(
Expand Down
1 change: 1 addition & 0 deletions network_tiles/ip/ip_rx_hash_table_init_rom_gen.sv.pyv
Original file line number Diff line number Diff line change
Expand Up @@ -22,6 +22,7 @@ for endpoint in udp_rx_endpoints:
if len(udp_rx_names) > (1 << 4):
raise RuntimeError("Too many destinations for the ROM size")
%>
`include "noc_defs.vh"
module ip_rx_hash_table_init_rom
import hash_pkg::*;
#(
Expand Down
6 changes: 5 additions & 1 deletion network_tiles/ip/ip_rx_out_cam_multi_gen.sv.pyv
Original file line number Diff line number Diff line change
@@ -1,4 +1,8 @@
module ip_rx_out_cam_multi #(
`include "noc_defs.vh"
`include "packet_defs.vh"
module ip_rx_out_cam_multi
import beehive_topology::*;
#(
parameter SRC_X = -1
,parameter SRC_Y = -1
)(
Expand Down
12 changes: 10 additions & 2 deletions network_tiles/ip/ip_rx_tile_gen.sv.pyv
Original file line number Diff line number Diff line change
Expand Up @@ -80,6 +80,14 @@ print(router_wires)
logic rd_tracker_out_vrtoc_val;
logic [`CTRL_NOC_DATA_W-1:0] rd_tracker_out_vrtoc_data;
logic vrtoc_rd_tracker_out_rdy;

logic router_ctovr_ctrl_noc1_val;
logic [`CTRL_NOC_DATA_W-1:0] router_ctovr_ctrl_noc1_data;
logic ctovr_router_ctrl_noc1_yummy;

logic vrtoc_router_ctrl_noc1_val;
logic [`CTRL_NOC_DATA_W-1:0] vrtoc_router_ctrl_noc1_data;
logic router_vrtoc_ctrl_noc1_yummy;

noc_router_block #(
.SRC_X (SRC_X )
Expand Down Expand Up @@ -173,7 +181,7 @@ print(router_wires)

,.vrtoc_dst_data (vrtoc_router_ctrl_noc1_data )
,.vrtoc_dst_val (vrtoc_router_ctrl_noc1_val )
,.dst_vrtoc_yummy (router_vrtoc_ctrl_noc1_yummy )
,.dst_vrtoc_yummy (router_vrtoc_ctrl_noc1_yummy )
);

beehive_valrdy_to_credit #(
Expand All @@ -188,7 +196,7 @@ print(router_wires)

,.vrtoc_dst_data (vrtoc_router_data_noc0_data )
,.vrtoc_dst_val (vrtoc_router_data_noc0_val )
,.dst_vrtoc_yummy (router_vrtoc_data_noc0_yummy )
,.dst_vrtoc_yummy (router_vrtoc_data_noc0_yummy )
);

ip_rx_noc_in ip_rx_noc_in (
Expand Down
1 change: 1 addition & 0 deletions network_tiles/ip/ip_tx_hash_table_init_rom_gen.sv.pyv
Original file line number Diff line number Diff line change
Expand Up @@ -22,6 +22,7 @@ for endpoint in eth_tx_endpoints:
if len(eth_tx_names) > (1 << 4):
raise RuntimeError("Too many destinations for the ROM size")
%>
`include "noc_defs.vh"
module ip_tx_hash_table_init_rom
import hash_pkg::*;
#(
Expand Down
12 changes: 10 additions & 2 deletions network_tiles/ip/ip_tx_tile_gen.sv.pyv
Original file line number Diff line number Diff line change
Expand Up @@ -108,6 +108,14 @@ print(router_wires)
logic rd_tracker_out_vrtoc_val;
logic [`CTRL_NOC_DATA_W-1:0] rd_tracker_out_vrtoc_data;
logic vrtoc_rd_tracker_out_rdy;

logic router_ctovr_ctrl_noc1_val;
logic [`CTRL_NOC_DATA_W-1:0] router_ctovr_ctrl_noc1_data;
logic ctovr_router_ctrl_noc1_yummy;

logic vrtoc_router_ctrl_noc1_val;
logic [`CTRL_NOC_DATA_W-1:0] vrtoc_router_ctrl_noc1_data;
logic router_vrtoc_ctrl_noc1_yummy;

noc_router_block #(
.SRC_X (SRC_X )
Expand Down Expand Up @@ -158,7 +166,7 @@ print(router_wires)

,.vrtoc_dst_data (vrtoc_router_data_noc0_data )
,.vrtoc_dst_val (vrtoc_router_data_noc0_val )
,.dst_vrtoc_yummy (router_vrtoc_data_noc0_yummy )
,.dst_vrtoc_yummy (router_vrtoc_data_noc0_yummy )
);

beehive_credit_to_valrdy #(
Expand Down Expand Up @@ -188,7 +196,7 @@ print(router_wires)

,.vrtoc_dst_data (vrtoc_router_ctrl_noc1_data )
,.vrtoc_dst_val (vrtoc_router_ctrl_noc1_val )
,.dst_vrtoc_yummy (router_vrtoc_ctrl_noc1_yummy )
,.dst_vrtoc_yummy (router_vrtoc_ctrl_noc1_yummy )
);

tracker_top #(
Expand Down
1 change: 1 addition & 0 deletions network_tiles/udp/udp_rx_noc_in.sv
Original file line number Diff line number Diff line change
@@ -1,4 +1,5 @@
`include "udp_rx_tile_defs.svh"
`include "soc_defs.vh"

module udp_rx_noc_in
import tracker_pkg::*;
Expand Down
1 change: 1 addition & 0 deletions network_tiles/udp/udp_rx_noc_in_datap.sv
Original file line number Diff line number Diff line change
@@ -1,4 +1,5 @@
`include "udp_rx_tile_defs.svh"
`include "soc_defs.vh"

module udp_rx_noc_in_datap
import tracker_pkg::*;
Expand Down
1 change: 1 addition & 0 deletions network_tiles/udp/udp_rx_noc_out.sv
Original file line number Diff line number Diff line change
@@ -1,4 +1,5 @@
`include "udp_rx_tile_defs.svh"
`include "soc_defs.vh"
module udp_rx_noc_out #(
parameter SRC_X = -1
,parameter SRC_Y = -1
Expand Down
1 change: 1 addition & 0 deletions network_tiles/udp/udp_rx_noc_out_copy.sv
Original file line number Diff line number Diff line change
@@ -1,4 +1,5 @@
`include "udp_rx_tile_defs.svh"
`include "soc_defs.vh"
module udp_rx_noc_out_copy
import tracker_pkg::*;
#(
Expand Down
1 change: 1 addition & 0 deletions network_tiles/udp/udp_rx_noc_out_datap.sv
Original file line number Diff line number Diff line change
@@ -1,4 +1,5 @@
`include "udp_rx_tile_defs.svh"
`include "soc_defs.vh"
module udp_rx_noc_out_datap
import tracker_pkg::*;
#(
Expand Down
4 changes: 3 additions & 1 deletion network_tiles/udp/udp_rx_out_cam_gen.sv.pyv
Original file line number Diff line number Diff line change
@@ -1,5 +1,7 @@
`include "udp_rx_tile_defs.svh"
module udp_rx_out_cam (
module udp_rx_out_cam
import beehive_eth_latency_logger_msg::*;
(
input clk
,input rst

Expand Down
9 changes: 8 additions & 1 deletion network_tiles/udp/udp_rx_out_cam_multi_gen.sv.pyv
Original file line number Diff line number Diff line change
@@ -1,4 +1,11 @@
module udp_rx_out_cam_multi #(
`include "soc_defs.vh"
module udp_rx_out_cam_multi
import beehive_noc_msg::*;
import beehive_topology::*;
import udp_rx_tile_pkg::*;
import beehive_eth_latency_logger_msg::*;
import beehive_udp_app_logger_msg::*;
#(
parameter SRC_X = -1
,parameter SRC_Y = -1
)(
Expand Down
8 changes: 8 additions & 0 deletions network_tiles/udp/udp_rx_tile_gen.sv.pyv
Original file line number Diff line number Diff line change
Expand Up @@ -90,6 +90,14 @@ print(router_wires)
logic noc_out_fifo_rd_rdy;
logic noc_out_fifo_rd_yumi;

logic router_ctovr_ctrl_noc1_val;
logic [`CTRL_NOC_DATA_W-1:0] router_ctovr_ctrl_noc1_data;
logic ctovr_router_ctrl_noc1_yummy;

logic vrtoc_router_ctrl_noc1_val;
logic [`CTRL_NOC_DATA_W-1:0] vrtoc_router_ctrl_noc1_data;
logic router_vrtoc_ctrl_noc1_yummy;


noc_router_block #(
.SRC_X (SRC_X )
Expand Down
1 change: 1 addition & 0 deletions network_tiles/udp/udp_tx_hash_table_init_rom_gen.sv.pyv
Original file line number Diff line number Diff line change
Expand Up @@ -22,6 +22,7 @@ for endpoint in ip_tx_endpoints:
if len(ip_tx_names) > (1 << 4):
raise RuntimeError("Too many destinations for the ROM size")
%>
`include "noc_defs.vh"
module udp_tx_hash_table_init_rom
import hash_pkg::*;
#(
Expand Down
1 change: 1 addition & 0 deletions network_tiles/udp/udp_tx_noc_in.sv
Original file line number Diff line number Diff line change
@@ -1,4 +1,5 @@
`include "udp_tx_tile_defs.svh"
`include "soc_defs.vh"
module udp_tx_noc_in
import tracker_pkg::*;
(
Expand Down
1 change: 1 addition & 0 deletions network_tiles/udp/udp_tx_noc_in_datap.sv
Original file line number Diff line number Diff line change
@@ -1,4 +1,5 @@
`include "udp_tx_tile_defs.svh"
`include "soc_defs.vh"
module udp_tx_noc_in_datap
import tracker_pkg::*;
(
Expand Down
1 change: 1 addition & 0 deletions network_tiles/udp/udp_tx_noc_out.sv
Original file line number Diff line number Diff line change
@@ -1,4 +1,5 @@
`include "udp_tx_tile_defs.svh"
`include "soc_defs.vh"
module udp_tx_noc_out #(
parameter SRC_X = -1
,parameter SRC_Y = -1
Expand Down
1 change: 1 addition & 0 deletions network_tiles/udp/udp_tx_noc_out_copy.sv
Original file line number Diff line number Diff line change
@@ -1,4 +1,5 @@
`include "udp_tx_tile_defs.svh"
`include "soc_defs.vh"
module udp_tx_noc_out_copy
import tracker_pkg::*;
#(
Expand Down
1 change: 1 addition & 0 deletions network_tiles/udp/udp_tx_noc_out_copy_gen.sv.pyv
Original file line number Diff line number Diff line change
@@ -1,4 +1,5 @@
`include "udp_tx_tile_defs.svh"
`include "soc_defs.vh"
module udp_tx_noc_out_copy
import tracker_pkg::*;
#(
Expand Down
1 change: 1 addition & 0 deletions network_tiles/udp/udp_tx_noc_out_datap.sv
Original file line number Diff line number Diff line change
@@ -1,4 +1,5 @@
`include "udp_tx_tile_defs.svh"
`include "soc_defs.vh"
module udp_tx_noc_out_datap
import tracker_pkg::*;
#(
Expand Down
8 changes: 8 additions & 0 deletions network_tiles/udp/udp_tx_tile_gen.sv.pyv
Original file line number Diff line number Diff line change
Expand Up @@ -89,6 +89,14 @@ print(router_wires)
logic rd_tracker_out_vrtoc_val;
logic [`CTRL_NOC_DATA_W-1:0] rd_tracker_out_vrtoc_data;
logic vrtoc_rd_tracker_out_rdy;

logic router_ctovr_ctrl_noc1_val;
logic [`CTRL_NOC_DATA_W-1:0] router_ctovr_ctrl_noc1_data;
logic ctovr_router_ctrl_noc1_yummy;

logic vrtoc_router_ctrl_noc1_val;
logic [`CTRL_NOC_DATA_W-1:0] vrtoc_router_ctrl_noc1_data;
logic router_vrtoc_ctrl_noc1_yummy;

noc_router_block #(
.SRC_X (SRC_X )
Expand Down
2 changes: 1 addition & 1 deletion protocols/tcp_hw
2 changes: 2 additions & 0 deletions util/common_noc_adapters/extra_hdr_noc_ctrl_to_data.sv
Original file line number Diff line number Diff line change
@@ -1,3 +1,5 @@
`include "noc_defs.vh"

module extra_hdr_noc_ctrl_to_data
import beehive_noc_msg::*;
import beehive_ctrl_noc_msg::*;
Expand Down
2 changes: 2 additions & 0 deletions util/common_noc_adapters/extra_hdr_noc_data_to_ctrl.sv
Original file line number Diff line number Diff line change
@@ -1,3 +1,5 @@
`include "noc_defs.vh"

module extra_hdr_noc_data_to_ctrl
import beehive_noc_msg::*;
import beehive_ctrl_noc_msg::*;
Expand Down
1 change: 1 addition & 0 deletions util/common_noc_adapters/flit_hdr_strip.sv
Original file line number Diff line number Diff line change
@@ -1,3 +1,4 @@
`include "noc_defs.vh"
module flit_hdr_strip
import beehive_noc_msg::*;
(
Expand Down
3 changes: 3 additions & 0 deletions util/common_noc_adapters/ip_lb_parse.sv
Original file line number Diff line number Diff line change
@@ -1,7 +1,10 @@
// a parser for things using the IP flit format
`include "noc_defs.vh"
`include "soc_defs.vh"
module ip_lb_parse
import hash_pkg::*;
import beehive_ip_msg::*;
import beehive_noc_msg::*;
(
input clk
,input rst
Expand Down
9 changes: 0 additions & 9 deletions util/logging/simple_log_udp_noc_read_ctrl.sv
Original file line number Diff line number Diff line change
Expand Up @@ -45,15 +45,6 @@ module simple_log_udp_noc_read_ctrl (
end
end

always_ff @(posedge clk) begin
if (rst) begin
state_reg <= READY;
end
else begin
state_reg <= state_next;
end
end

always_comb begin
reader_in_ctovr_rdy = 1'b0;
reader_out_vrtoc_val = 1'b0;
Expand Down
1 change: 1 addition & 0 deletions util/logging/tracker_read_datap.sv
Original file line number Diff line number Diff line change
@@ -1,5 +1,6 @@
module tracker_read_datap
import tracker_pkg::*;
import beehive_noc_msg::*;
import beehive_ctrl_noc_msg::*;
#(
parameter SRC_X = -1
Expand Down
1 change: 1 addition & 0 deletions util/logging/tracker_record_datap.sv
Original file line number Diff line number Diff line change
@@ -1,3 +1,4 @@
`include "noc_defs.vh"
module tracker_record_datap
import beehive_noc_msg::*;
import tracker_pkg::*;
Expand Down